学位专题

<

一种Sigma-Delta ADC中抽取滤波器的研究

吴倩瑜
重庆大学
引用
本文对一个应用于高端音频设备当中的Sigma-Delta ADC的抽取滤波器进行了研究与设计。Sigma-Delta ADC由于其具有高线性,高精度,易于与数字电路集成的优势,广泛应用于高端音频,无线通信以及精密仪器测量当中。随着技术的进步,未来还会朝着宽带方向发展,从而进入到数字视频等领域。Sigma-Delta ADC包含前端调制器以及后端的数字抽取滤波器两部分。前端调制器过采样输入信号,并且实现噪声整形,把带内的量化噪声搬移到较高频段当中去。后端的抽取滤波器通过降采样把信号频率降到奈奎斯特率,并且滤除被调制器转移到高频的带外量化噪声,使得带内信噪比上升。主要研究内容如下:   ⑴整个设计采用了由顶向底(Top-down)的设计思路。首先从系统的要求出发选择滤波器的结构与类型。整个滤波器采用了多级多速率结构实现,第一级采用CIC滤波器,后面级联两级半带滤波器以及一个CIC补偿滤波器。通过比较分析,将CIC补偿滤波器放置在两个半带滤波器中间需要的计算量是最小的。同时为了进一步降低计算的负担,后面三级滤波器都采用两相的结构,使得滤波器工作在降采样之后相对较低的工作频率之下。   ⑵通过Matlab里的FDAtool以及Fdesign tool工具箱完成滤波器的设计工作。整体滤波器的阻带衰减达到120dB,带通波纹小于0.01dB。实现48kHz与96kHz可变输出,输出数据位宽支持16位,20位与24位。同时计算出相应的滤波器系数,完成对于滤波器系数的CSD编码转换。由于滤波器系数以及输出字长的量化长度对于最终得到的滤波器精度是有影响的,通过本文的分析,为了达到设计要求,该设计采用了24位的系数量化以及最高24位的输出截断量化。   ⑶用Verilog HDL编写了整个滤波器的代码以及用于测试其功能的testbench。用Matlab的Simulink以及Sdtoolbox来搭建了需要的调制器结构,产生出用于测试的调制器输出码流。通过Modelsim验证了滤波器功能。   ⑷最后把经过验证后的HDL代码用Synopsys公司的Design Compiler进行电路的综合得到相应的电路网表。再用Astro进行自动布局布线,完成了版图的设计。用0.18um标准单元工艺库实现,版图面积为。同时由于采用了由顶向底的设计思路,设计的复用性以及可移植性也比较好。基于数字滤波器的数据处理过程就是一个DSP过程,适合用于FPGA,所以在文中也把HDL代码加载到FPGA软件工具Quartus中进行了FPGA的仿真实现。

CIC滤波器;信号调制;数模转换;电路设计

重庆大学

硕士

微电子学与固体电子学

张正璠

2010

中文

TN713.7;TN702

2012-04-26(万方平台首次上网日期,不代表论文的发表时间)

相关文献
评论
相关作者
相关机构
打开万方数据APP,体验更流畅