期刊专题

信号采集中峰值检测电路的设计与实现

引用
为实现信号采集中峰值检测,改进峰值检测电路的指标,提高峰值检测电路的速度,捕捉更窄的毛刺信号,使用VHDL语言来编写程序,设计了一种基于FPGA的峰值检测电路.采用串并转换的思想,先把采集到的数据做串并转换以降低速度,并使用流水线技术,提高了电路的工作速度,实现了峰值检测的功能.该电路在实际项目中得到了验证,能捕获2.5 ns以上的毛刺信号,可广泛用于数字存储示波器中.

峰值检测、数字存储示波器、流水线、FPGA技术、VHDL语言

37

TP274+.2;TN702(自动化技术及设备)

2011-09-09(万方平台首次上网日期,不代表论文的发表时间)

共5页

63-66,88

相关文献
评论
暂无封面信息
查看本期封面目录

中国测试

1674-5124

51-1714/N

37

2011,37(3)

相关作者
相关机构

专业内容知识聚合服务平台

国家重点研发计划“现代服务业共性关键技术研发及应用示范”重点专项“4.8专业内容知识聚合服务技术研发与创新服务示范”

国家重点研发计划资助 课题编号:2019YFB1406304
National Key R&D Program of China Grant No. 2019YFB1406304

©天津万方数据有限公司 津ICP备20003920号-1

信息网络传播视听节目许可证 许可证号:0108284

网络出版服务许可证:(总)网出证(京)字096号

违法和不良信息举报电话:4000115888    举报邮箱:problem@wanfangdata.com.cn

举报专区:https://www.12377.cn/

客服邮箱:op@wanfangdata.com.cn

打开万方数据APP,体验更流畅