期刊专题

Displayport接口协议在FPGA上的实现

引用
Displayport是视频电子标准协会(VESA)针对数字高清视频音频应用推出的一种串行数字接口,其传输速率高达10.8 Gbp/s.基于Altera公司的StratixIIGX系列FPGA,实现了接口的接收部分协议,对高速串并转换在FPGA上的实现,分数分频时钟合成给出了解决方案.

Displayport、接口协议、FPGA、频率合成

39

TN79(基本电子电路)

2009-10-30(万方平台首次上网日期,不代表论文的发表时间)

共4页

508-510,515

相关文献
评论
暂无封面信息
查看本期封面目录

微电子学

1004-3365

50-1090/TN

39

2009,39(4)

相关作者
相关机构

专业内容知识聚合服务平台

国家重点研发计划“现代服务业共性关键技术研发及应用示范”重点专项“4.8专业内容知识聚合服务技术研发与创新服务示范”

国家重点研发计划资助 课题编号:2019YFB1406304
National Key R&D Program of China Grant No. 2019YFB1406304

©天津万方数据有限公司 津ICP备20003920号-1

信息网络传播视听节目许可证 许可证号:0108284

网络出版服务许可证:(总)网出证(京)字096号

违法和不良信息举报电话:4000115888    举报邮箱:problem@wanfangdata.com.cn

举报专区:https://www.12377.cn/

客服邮箱:op@wanfangdata.com.cn

打开万方数据APP,体验更流畅