期刊专题

10.3969/j.issn.1003-0107.2012.07.005

基于VHDL语言的数码管闪烁控制的实现

引用
介绍了在MAX+PLUSⅡ开发环境下,用VHDL语言设计一种用于控制8路数码管闪烁的电路。该电路具有4种花型,且可以变换速度。通过MAX+PLUSⅡ软件进行波形仿真后,利用实验板提供的资源,下载到芯片中实现预定功能。

VHDL、数码管、MAX+PLUSⅡ

TN949.16

2012-09-11(万方平台首次上网日期,不代表论文的发表时间)

共3页

12-14

相关文献
评论
暂无封面信息
查看本期封面目录

电子质量

1003-0107

44-1038/TN

2012,(7)

相关作者
相关机构

专业内容知识聚合服务平台

国家重点研发计划“现代服务业共性关键技术研发及应用示范”重点专项“4.8专业内容知识聚合服务技术研发与创新服务示范”

国家重点研发计划资助 课题编号:2019YFB1406304
National Key R&D Program of China Grant No. 2019YFB1406304

©天津万方数据有限公司 津ICP备20003920号-1

信息网络传播视听节目许可证 许可证号:0108284

网络出版服务许可证:(总)网出证(京)字096号

违法和不良信息举报电话:4000115888    举报邮箱:problem@wanfangdata.com.cn

举报专区:https://www.12377.cn/

客服邮箱:op@wanfangdata.com.cn

打开万方数据APP,体验更流畅