期刊专题

10.3969/j.issn.1009-623X.2003.10.014

在测控系统中用IP核实现D/A转换

引用
采用数字化技术,在测控系统中用I P核实现D/A转换,并且在1片可编程逻辑器件中实现.它不受温度的影响,既可保持高分辨率,又可降低对电路精度和稳定度的要求,并减少元件的数量.

IP D/A VHDL、可编程逻辑器件

TN79(基本电子电路)

2004-01-15(万方平台首次上网日期,不代表论文的发表时间)

共3页

50-52

相关文献
评论
暂无封面信息
查看本期封面目录

单片机与嵌入式系统应用

1009-623X

11-4530/V

2003,(10)

相关作者
相关机构

专业内容知识聚合服务平台

国家重点研发计划“现代服务业共性关键技术研发及应用示范”重点专项“4.8专业内容知识聚合服务技术研发与创新服务示范”

国家重点研发计划资助 课题编号:2019YFB1406304
National Key R&D Program of China Grant No. 2019YFB1406304

©天津万方数据有限公司 津ICP备20003920号-1

信息网络传播视听节目许可证 许可证号:0108284

网络出版服务许可证:(总)网出证(京)字096号

违法和不良信息举报电话:4000115888    举报邮箱:problem@wanfangdata.com.cn

举报专区:https://www.12377.cn/

客服邮箱:op@wanfangdata.com.cn

打开万方数据APP,体验更流畅